>
首页 » 业界动态 » 基于FPGA的图像预处理系统

基于FPGA的图像预处理系统

作者:北京机械工业学院 韩娟娟 邓文怡 娄小平  时间:2007-04-11 18:08  来源:

由于获取图像的工具或手段的影响,使获取图像无法完全体现原始图像的全部信息。因此,对图像进行预处理就显得非常重要。预处理的目的是改善图像数据,抑制不需要的变形或者增强某些对于后续处理来说比较重要的图像特征。


通常根据预处理目的的不同,把预处理技术归为图像增强技术和图像分割技术两类。图像对比度处理是空间域图像增强的一种方法。由于图像灰度范围狭窄会使图像的对比度不理想,可用对比度增强技术来调整图像灰度值的动态范围。


图像处理通常采用软件或者dsp(数字信号处理器)来实现。如果利用软件实现,运行时会耗费较多的pc资源,而且算法越复杂时耗费的资源就越多,对于需要高速处理的情况就不适用了;而如果采用dsp来实现,提高并行性的同时指令执行速度必然会提高,较高的指令速度可能导致系统设计复杂化,并增加功耗和成本。新一代的fpga集成了cpu或dsp内核,可以在一片fpga上进行软硬件协同设计,为实现sopc提供了强大的硬件支持。本文介绍的是利用fpga并行处理和计算能力,以altera fpga stratix ep1s40为系统控制的核心实现的sopc。

系统硬件平台


采用基于pci总线的fpga开发平台,结构如图1所示。板上主要硬件资源有:pci桥、fpga、sram和sdram等其他外设。

图1 基于pci总线的fpga开发平台


pci桥采用pci9656桥接芯片实现,用来连接pci总线与32位/50mhz的板级局部总线;fpga包括41 250个逻辑单元,14个dsp模块,112个嵌入式乘法器,4个增强型pll(锁相环)和4个快速型pll等逻辑资源;sdram用来存储图像数据。avalon总线模块完成整个片上可编程系统组件之间的互连。avalon总线是sopc设计中连接片上处理器和其他ip模块的一种简单总线协议,规定了主、从构件之间的端口连接以及通信时序关系,sopc builder来完成整个系统(包括avalon)模块的生成和集成。丰富的板级资源可以满足图像的高速预处理需求。

系统工作原理


设计采用dma方式快速传输图像数据,这样数据的传输和处理可以并行起来,使得系统的运行效率大为提高。pc与pci板卡之间建立软件环境,在该环境下利用dma通道把图像数据从主机通过pci总线、pci桥、板级局部总线和avalon总线传到sdram存储起来,然后送入算法逻辑模块进行处理,处理完成后再把图像数据存储到sdram中,最后再用dma通道把图像处理结果传送回主机。

建立片上系统中的关键模块


本文设计的图像对比度增强系统是建立在stratix ep1s40基础上的sopc。该设计在quartus ii 5.0中利用sopc builder将系统的工作频率设为50mhz,器件系列设为stratix,调用已经建立的ip模块和算法逻辑模块,并设置各个模块的时钟和基地址,配置生成片上系统。根据任务要求,sopc结构框图如图2所示。

图2 sopc结构框图


图2中,avalon总线接口分为主(master)和从(slave)两类,前者具有相接的avalon总线的控制权,后者是被动的。自定制的avalon从外设根据对avalon总线操作方式的不同分为两类:一类是avalon从(slave)外设,只是作为avalon总线的一个从元件来处理;另一类是avalon流模式从(streaming slave)外设,需要使用avalon总线的流传输模式,外设的设计更复杂。


● 板级局部总线与avalon总线桥之间的通信模块


在板级局部总线与fpga内部avalon总线桥之间建立通信模块。由于sopc内部各模块之间的逻辑连接是由avalon总线完成的,所以可以从主机通过pci总线和板级局部总线访问sopc组件。该模块作为avalon总线的一个主控接口,对其他avalon从外设和avalon流模式从外设进行访问和控制。


● 控制模块


控制模块的工作时钟独立于系统时钟,如图3所示。包括两个模块的设计:(1)用于对sdram存储资源做合理分配的流模式存储管理器模块,它作为avalon流模式从外设,读写端口的数量可配置,这些读写端口可以以流传输模式把图像数据读出或写入sdram。(2)存储器控制模块,它作为avalon总线的另一主控接口,对作为avalon总线从控接口的sdram控制器进行控制。

图3 控制模块


● 图像对比度增强算法及vhdl实现


在图像对比度处理方法中,可以设计出不同的转换函数。本系统是针对锯齿形函数转换设计的,锯齿形转换可以把几段较窄灰度区间拉伸到较宽的灰度范围内,这种处理可以把灰度变化较平缓的区域也较鲜明地显示出来,从而增强图像中感兴趣的特征。


该设计对一帧3008×2000像素、数据宽度为8位的灰度图像进行处理,图像共有2000行、3008列像素点,行和列均从0开始计起。在系统时钟上升沿、行有效信号与数据有效信号均有效时,把图像数据读入进来,对其做相应处理。每个系统时钟上升沿读入一个图像数据,在第n行像素点处理完后,第n+1行有效信号与数据有效信号必须同时有效才能继续处理,在对第1999行、3007列像素点处理完毕后,行有效信号与数据有效信号置为无效,此时整帧图像处理完毕。算法逻辑模块中需要帧有效计数器和行有效计数器各一个,分别用来对帧和行进行计数,还需要一个像素计数器对某行像素做计数统计。

由于该算法逻辑模块是基于sopc技术,能够在sopc builder工具中作为自定制模块调用,需设置两个寄存器,分别是帧有效计数寄存器和行有效计数寄存器,它们根据avalon总线地址的不同,同时作为avalon总线的读或写寄存器,从而使自定义模块可以和avalon总线进行通信。每输入一个像素点判断其灰度值满足设置的哪个区间范围并做相应转换,从而实现一帧图像的对比度增强处理。根据算法需求,采用vhdl语言对算法逻辑建模,并以avalon总线从外设的方式在sopc builder 中调用。vhdl实现如下。


entity enhancement is
port (ilval : in std_logic;
idval : in std_logic;
ifval : in std_logic := 1;
iclk : in std_logic;
idata : in std_logic_vector(7 downto 0);
olval : out std_logic; odval : out std_logic;
ofval : out std_logic;
odata : out std_logic_vector (7 downto 0)
);
end enhancement;


这里ifval被置为“1”,说明是对一帧图像进行处理;idata与odata是图像输入、输出二进制数据,宽度为8位。

图像预处理系统的实现


用标准化硬件描述语言vhdl建立fpga模块实现。按照自顶向下的设计方法生成各设计阶层,将设计任务分解为不同的功能元件,每个元件具有专门定义的输入输出端口并执行各自的逻辑功能。该sopc设计通过以下步骤实现。


(1)利用sopc builder工具编译生成用户自定制模块,调用已建立的ip模块并设置参数,配置生成片上系统;


(2)在顶层vhdl文件中调用模块并示例化,进行信号连接;


(3)对整个系统进行管脚等约束设置,全编译并进行行为和时序验证;


(4)下载和硬件调试完成。

结束语


该系统对实验室在光线较弱情况下所拍的一帧3008×2000灰度图像进行对比度增强处理,处理前后如图4、5所示。在sopc系统时钟为50mhz时,对于输入大小为3008×2000像素点的灰度图像,每一帧的处理时间为t=(1/(50×106))×3008×2000=0.120 32s。

图4 原图像

图5 处理后图像


而在3ghz pentium 4、256mb内存的pc上通过matlab用代码实现则需0.9850s。该设计采用fpga实现图像预处理系统,与利用软件处理图像的方法比较起来,可以更快速地实现对图像的预处理。同时系统构成灵活,设计中模块的可重复开发性降低了生产成本、缩短了生产周期,提高了工作效率。

相关推荐

基于SOPC的分布式干扰系统嵌入式网关设计

干扰系统  网关  SOPC  2011-06-01

基于Avalon总线的SD卡读写控制器的设计

SD卡  Avalon总线  2011-05-24

基于NiosII的SOPC多处理器系统设计方法

NiosII  SOPC  QuartusII  2010-12-29

建设EDA&SoPC实验室的优势

EDA  Protel  SoPC  2010-10-15

SOPC中NiosII的LCD显示驱动IP设计

NioslI  SOPC  LCD显示  Cyclone  2010-08-31

SoPC系统的综合优化设计策略

SoPC  综合优化  EP2S60  Nios  2010-06-09
在线研讨会
焦点