首页 » 技术文章 » 基于Matlab/DSP Builder多波形信号发生器的设计

基于Matlab/DSP Builder多波形信号发生器的设计

作者:  时间:2009-03-13 13:48  来源:

  1  引言

  传统的波形发生器多采用模拟分立元件实现,产生的波形种类要受到电路硬件的限制,体积大,灵活性和稳定性也相对较差。采用FPGA器件直接实现多种波形信号发生器,配以相应的外围器件实现的波形发生器具有设计简单、外围电路少、频率稳定性高、可靠性高、输出波形稳定、现场可编程等优点,因而在现代电子设计中,常常采用FPGA器件来实现多种波形信号发生器,利用FPGA实现多种波形信号发生器的方法也很多,但其设计方法均过于复杂,要求设计人员对VHDL 语言要相当熟悉,才能编写相应的程序。采用Matlab/DSP Builder建立模型来实现多种波形信号发生器,其设计简单,不需要编程,也能根据需要设计出相应的多波信号发生器[1][2][4][6]。

  2、多波信号发生器的数学模型

  2.1 锯齿波的产生

  在Matlab/Simulink下,有一模块名叫Increment Decrement模块,由于Increment Decrement模块随着时间的变化而不断的从0计数到255 ,到了255后清0,接着又从0开始计数这样周期性的产生锯齿波。

  2.2 正弦波的产生

  利用Increment Decrement不断计数,根据计数找到查找表的地址取出里面的值,正弦函数的调用格式为Sin(【起始值:步进值:结束值】),该模块为一个输入为6位输出值为8位的正弦查找表模块。

  2.3 方波的产生

  由于产生的正弦波的值从0到255,我们可以使用一个比较器进行比较,根据比较值的大小产生占空比不同的方波,此处我们设置一个值为127的常数,当输出正弦波的值大于等于127的时候比较器的值为1,反之为0。比较器输出的值可以进行放大,比如放大127倍。这样即可生成方波。

  2.4 三角波的产生

  同理利用比较器的性质跟Increment Decrement模块输出的值进行比较,当Increment Decrement模块输出的值小于等于127时比较器模块10为1,然后再与Increment模块相乘,相乘的结果为127到0;当 Increment Decrement模块输出的值大于127时比较器模块9为0,与Increment模块相乘,相乘的结果为0到127;以上两者进行相加后在经过一个绝对值变化器,就可以产生的很好的波形。根据以上分析其建立的模型如图1所示[5]。

  3、用ModelSim进行RTL级的VHDL仿真

  3.1 多波信号发生器的模型文件MDL转换成VHDL

  在Simulink中完成仿真验证后,就需要把设计转到硬件上加以实现。这是整个DSP Builder设计流程中最为关键的一步,在这一步,可以获得针对特定FPGA芯片的VHDL代码。双击多波信号发生器数学模型中的 SignalCompiler模块,然后再在弹出的对话框中分别点击“Convert MDL to VHDL”、“Synthesis”和“Quartus II”,这样就可以把多波信号发生器的数学模型文件转换成特定的VHDL代码。

  3.2 用ModelSim进行RTL级的VHDL仿真

  在Simulink中进行的仿真是属于系统验证性质的,是对MDL文件进行的仿真,并没有对生成的VHDL代码进行过仿真。事实上,生成VHDL描述的是 RTL级的,是针对具体的硬件结构的,而在Matlab的Simulink中的模型仿真是算法级的,两者之间有可能存在软件理解上的差异。转换后的 VHDL代码实现可能与MDL模型描述的情况不完全相符。这就需要针对生成的RTL级VHDL代码进行功能仿真。为此利用ModelSim对多波信号发生器进行RTL级进行仿真,以验证多波信号发生器设计的正确性,其仿真波形如图2所示,由此可以看出其设计是正确的[3]。

  4、多波信号发生器的顶层设计及仿真结果

  整体电路采用原理图描述和VHDL语言相结合的方式构成,在Quartus II软件中实现综合及仿真。顶层原理图如图3所示,为了达到输出信号的有选择的目的,设计了一个多路选择顺,该多路选择器的采用VHDL语言描述,其源代码如下:
library ieee;
use ieee.std_logic_1164.all;
entity test4 is
   port(d1,d2,d3,d4: in std_logic_vector(7 downto 0);
sel: in bit_vector(1 downto 0);
q: out std_logic_vector(7 downto 0));
end test4;
architecture rtl of test4 is
begin
   process(d1,d2,d3,d4,sel)
    begin
      case sel is
         when 00 => q <= d1;
         when 01 => q <= d2;
         when 10 => q <= d3;
         when 11 => q <= d4;
      end case;

      end process;
end rtl;

  经过Quartus II的综合与仿真,结果表明,能够实现多种波形信号的功能。图4是Quartus II的仿真波形。

  5  结语

  经过Quartus II仿真正确后,即可将项目编译生成的编程文件下载到FPGA器件中,完成器件编程,经测试表明,电路实际工作的结果与仿真时的结果一致,达到了设计要求。

  本文作者创新点在整个多波形信号发生器的设计过程中,充分利用了Matlab强大的数学计算功能来保证FPGA的设计的正确性,使整个设计非常简单,修改灵活。设计者不至于陷于复杂的VHDL 语言编程,只要在Matlab下建立系统模型,然后对各个模块的基本参数进行简单设置就可以实现复杂电子系统的设计。

  参考文献

[1] 石伟,宋跃,李琳.基于FPGA 的DDS调频信号的研究与实现[J] .微计算机信息,2005,5:179-180
[2] H.T.Nicholas,III H.Samulei. An analysis of the output spectrum of Direct Digital Frequency Sythesizers in the presence of phase-accumulator truncation, IEEE Proc.41st AFCS,1987495~502
[3] 潘松,黄继业,曾毓.SOPC技术实用教程.清华大学出版社,2005年,P172-176
[4] 张厥盛,曹丽娜.锁相与频率合成技术.西安,电子科技大学出版社,1995
[5] 潘松,黄继业,王国栋.现代DSP技术.西安电子科技大学出版社,2003年,P213-P219
[6]杨丽,李镇,孙厚军.基于FPGA的多波形信号发生器[J] .无线电工程,2005,7:46-48

 

相关推荐

解析2012年我国中低端示波器市场形势

CEVA凭借90%的市场份额继续领导DSP IP市场

CEVA  DSP  2012-05-30

欧胜推出带有语音处理器DSP的下一代音频中枢

欧胜  DSP  2012-05-07

Tensilica HiFi音频/语音DSP迎来又一里程碑

Tensilica  DSP  2012-04-25

Tensilica授权瑞萨电子ConnX BBE16 DSP IP核

Tensilica  DSP  2012-04-18

Tensilica HiFi音频DSP支持杜比数字+功能

Tensilica  DSP  2012-02-28
在线研讨会
焦点